Altium Designer 20 电路设计与仿真从入门到精通

978-7-115-54704-0
作者: 孟培段荣霞
译者:
编辑: 颜景燕王旭丹

图书目录:

详情

全书以Altium Designer 20为平台,讲解了电路设计的方法和技巧,主要包括Altium Designer 20概述、原理图简介、原理图的环境设置、原理图的基础操作、原理图的高级应用、层次原理图设计、电路仿真系统、PCB 设计入门、PCB 的高级编辑、电路板的后期制作、信号完整性分析、创建元器件库及元器件封装等内容。

图书摘要

版权信息

书名:Altium Designer 20电路设计与仿真从入门到精通

ISBN:978-7-115-54704-7

本书由人民邮电出版社发行数字版。版权所有,侵权必究。

您购买的人民邮电出版社电子书仅供您个人使用,未经授权,不得以任何方式复制和传播本书内容。

我们愿意相信读者具有这样的良知和觉悟,与我们共同保护知识产权。

如果购买者有侵权行为,我们可能对该用户实施包括但不限于关闭该帐号等维权措施,并可能追究法律责任。


版  权

编  著 孟 培 段荣霞

责任编辑 颜景燕

人民邮电出版社出版发行  北京市丰台区成寿寺路11号

邮编 100164  电子邮件 315@ptpress.com.cn

网址 http://www.ptpress.com.cn

读者服务热线:(010)81055410

反盗版热线:(010)81055315

内 容 提 要

本书以Altium Designer 20为平台,讲解电路设计的方法和技巧,主要包括Altium Designer 20简介、原理图简介、原理图的环境设置、原理图的基础操作、原理图的高级应用、层次原理图设计、电路仿真系统、PCB设计入门、PCB 的高级编辑、电路板的后期制作、信号完整性分析、创建元器件库及元器件封装等内容。本书通过各个方面的实例应用讲解,让读者在掌握电路绘图技术的基础上学会电路设计的一般方法和技巧。全书内容翔实,图文并茂,思路清晰。

本书可以作为Altium Designer 20初学者的入门教材,也可以作为电路设计行业工程技术人员及各院校相关专业师生的学习参考书。

前  言

Altium系列软件是进入我国较早的电子设计自动化软件,一直以易学易用的特点深受广大电子设计者的喜爱。它的前身是由Protel Technology公司推出的Protel系列软件,于2006年更名为Altium Designer系列软件。

Altium Designer 20是第29次升级后的软件,整合了在过去12个月中所发布的一系列更新,包括新的PCB特性以及核心PCB和原理图工具更新。作为新一代的板卡级设计软件,其独一无二的DXP技术集成平台为设计系统提供了所有工具和编辑器的兼容环境。

Altium Designer 20是一套完整的板卡级设计系统,真正实现了在单个应用程序中的集成。Altium Designer 20 PCB线路图设计系统完全利用了Windows平台的优势,具有更好的稳定性、增强的图形功能和易用的用户界面,设计者可以选择适当的设计途径以优化的方式工作。

本书以Altium Designer 20为平台,介绍了电路设计的方法和技巧。全书共12章,各部分内容如下。

第1章主要介绍Altium Designer 20基础知识。

第2章主要介绍原理图。

第3章主要介绍原理图的环境设置。

第4章主要介绍原理图的基础操作。

第5章主要介绍原理图的高级应用。

第6章主要介绍层次原理图的设计。

第7章主要介绍电路仿真系统。

第8章主要介绍PCB设计入门。

第9章主要介绍PCB的高级编辑。

第10章主要介绍电路板的后期制作。

第11章主要介绍信号完整性分析。

第12章主要介绍元器件库及元器件封装的创建。

为了保证读者能够从零开始学习,本书对基础概念的讲解比较全面,且在编写过程中由浅入深,后面的实例具有典型性、代表性。在介绍过程中,编者根据自己多年的经验及教学心得,适当地给出总结和相关提示,以帮助读者快捷地掌握所学知识。全书内容翔实,图文并茂,思路清晰。

本书是适合Altium Designer初、中级用户的一本实用教程,也可以作为电路设计行业工程技术人员及各院校相关专业师生的学习参考书。

读者可关注“职场研究社”公众号,回复“54704”获取配套资源下载链接;也可登录异步社区官网(www.epubit.com),搜索关键词“54704”下载配套资源;还可以加入福利QQ群【1015838604】,额外获取九大学习资源库。

本书由石家庄三维书屋文化传播有限公司孟培高级工程师和中国人民解放军陆军工程大学石家庄校区的段荣霞老师编著,其中孟培编写了第1章~第3章,段荣霞编写了第4章~第12章。闫聪聪、胡仁喜等也参加了部分章节的编写工作。

由于编者水平有限,书中不足之处在所难免,望广大读者联系yanjingyan@ptpress.com.cn批评指正,编者将不胜感激,也欢迎广大读者登录我们的服务QQ群660309547参与交流探讨。

编 者

2020年8月

第1章 Altium Designer 20简介

Altium Designer 20作为新一代的板卡级设计软件,以Windows的界面风格为主,同时,Altium Designer 20独一无二的DXP技术集成平台也为设计系统提供了所有工具和编辑器的兼容环境,友好的界面环境及智能化的性能为电路设计者提供了优质的服务。

Altium Designer 20有什么特点?如何安装Altium Designer 20?PCB的总体设计流程有哪些?这些都是本章要讲解的内容。

本章将从Altium Designer 20的功能特点讲起,介绍Altium Designer 20的安装与卸载和Altium Designer 20的界面汉化,以使读者能对该软件有一个大致的了解。

1.1 Altium Designer 20的主要特点

Altium Designer 20是一款功能全面的3D PCB设计软件,该软件配备了具有创新性、功能强大且直观的印制电路板(Printed Circuit Board,PCB)技术,支持3D建模、增强的高密度互连(High Density Interconnector,HDI),自动化布线等功能,可以连接PCB设计过程中的所有方面,使用户始终与设计的每个方面和各个环节无缝连接。同时用户还可以利用软件中强大的转换工具,从竞争对手的工具链中迁移到Altium的一体化平台,从而轻松地设计出高品质的电子产品。

与上一版本相比,Altium Designer 20功能进行了全面升级,主要更新集中在额外增强方面,如增加了新的PCB连接绘图选项。新的选项在“查看配置”对话框中已经执行,以便于“在单层模式中显示所有连接”以及“为连接图显示层级颜色”。软件还进一步改善了PCB中的3D机械CAD接口,改进了在STEP文件中输出的变化,这样在为板级部分使用“组件后缀”选项以及在PCB IDF导出实用程序时,如果检测到了一个空的元器件注释,则会发出警告。最后Altium Designer 20还支持备用的PDF阅读器,使设计者能够运用该版本中提供的诸多全新功能,将自己从干扰设计工作的琐碎任务中解放出来,从而完全专注于设计本身,尽情享受创新激情!

1.设计环境

设计过程中各个方面的数据互连(包括原理图、PCB、文档处理和模拟仿真),可以显著地提升生产效率。

(1)变量支持:管理任意数量的设计变量,而无须另外创建单独的项目或设计版本。

(2)一体化设计环境:Altium Designer 20从一开始就致力于构建功能强大的统一应用电子开发环境,包含完成设计项目所需的所有高级设计工具。

(3)全局编辑:Altium Designer 20提供灵活而强大的全局编辑工具,方便使用,可一次更改所有或特定元器件。多种选择工具使您可以快速查找、过滤和更改所需的元器件。

2.可制造性设计

学习并应用可制造性设计(Design for Manufacturing,DFM)方法,确保每一次的PCB设计都具有功能性、可靠性和可制造性。

(1)可制造性设计入门:了解可制造性设计的基本技巧,帮助您为成功制造电路板做好准备。

(2)PCB拼版:通过使用Altium Designer 20进行拼版,在制造过程中保护您的电路板并显著降低其生产成本。

(3)设计规则驱动的设计:在Altium Designer 20中应用设计规则覆盖PCB的各个方面,轻松定义您的设计需求。

(4)Draftsman模板:在Altium Designer 20中直接使用Draftsman模板,轻松满足您的设计文档标准。

3.轻松转换

使用业内最强大的翻译工具,轻松转换您的设计信息。

4.软硬结合设计

在3D环境中设计软硬结合板,并确认其3D元器件、装配外壳和PCB间距满足所有机械方面的要求。

(1)定义新的层堆栈:为了支持先进的PCB分层结构,该软件开发了一种新的层堆栈管理器,它可以在单个PCB设计中创建多个层堆栈。这既有利于嵌入式元器件,又有利于软硬结合电路的创建。

(2)弯折线:Altium Designer 20包含软硬结合设计工具集,其中弯折线使您能够创建动态柔性区域,还可以在3D空间中完成电路板的折叠和展开,使您可以准确地看到成品的外观。

(3)层堆栈区域:设计中具有多个PCB层堆栈,但是设计人员只能查看正在工作的堆栈对应的电路板的物理区域,对于这种情况,Altium Designer 20会利用其独特的查看模式—电路板规划模式。

5.PCB设计

控制元器件布局和在原理图与PCB之间完全同步,可以轻松地操控电路板布局上的对象。

(1)智能元器件摆放:使用Altium Designer 20中的直观对齐系统可快速将对象捕捉到与附近对象的边界或焊盘对齐的位置,在遵守设计规则的同时,将元器件推入狭窄的空间。

(2)交互式布线:使用Altium Designer 20的高级布线引擎,可以在很短的时间内设计出最高质量的PCB布局布线,它包括几个强大的布线选项,如环绕、推挤、环抱并推挤、忽略障碍以及差分对布线。

(3)原生3D PCB设计:使用Altium Designer 20中的高级3D引擎,以原生3D实现清晰可视化,并与您的设计进行实时交互。

6.原理图设计

通过层次式原理图和设计复用,您可以在一个内聚的、易于导航的用户界面中更快、更高效地设计顶级电子产品。

(1)层次化设计及多通道设计:使用Altium Designer 20分层设计工具将任何复杂或多通道设计简化为可管理的逻辑块。

(2)电气规则检查:使用Altium Designer 20电气规则检查(Electrical Rules Check,ERC)在原理图捕获阶段尽早发现设计中的任何错误。

(3)简单易用:Altium Designer 20为您提供了轻松创建多通道和分层设计的功能,可以将复杂的设计简化为视觉上令人愉悦且易于理解的逻辑模块。

(4)元器件搜索:从通用符号和封装中创建真实的、可购买的元器件,或从数十万个元器件库中搜索,以找到并放置您需要的确切元器件。

7.发布

体验从容有序的数据管理,并通过无缝、简化的文档处理功能为其发布做好准备。

(1)自动化的项目发布:Altium Designer 20为您提供受控和自动化的设计发布流程,确保您的文档易于生成、内容完整并且可以进行良好的沟通。

(2)PCB拼版支持:在PCB编辑器中轻松定义相同或不同电路板设计的面板,降低生产成本。

(3)无缝PCB绘图过程:在Altium Designer 20统一环境中创建制造和装配图,使您的所有文档与您的设计保持同步。

1.2 Altium Designer 20的运行环境

Altium Designer 20软件的最低运行环境和推荐系统配置如下。

1.安装Altium Designer 20软件的最低配置要求

2.安装Altium Designer 20软件的推荐配置

1.3 Altium Designer 20软件的安装和卸载

1.3.1 Altium Designer 20的安装

Altium Designer 20虽然对运行系统的要求比较高,但安装起来却是很简单的。

Altium Designer 20的安装步骤如下。

(1)双击Altium Designer 20 Setup.exe文件,弹出Altium Designer 20的安装界面,如图1-1所示。

图1-1 安装界面

(2)单击“Next”(下一步)按钮,进入Altium Designer 20的安装协议界面。无须选择语言,选择“I accept the agreement”(我接受协议)选项,如图1-2所示。

图1-2 安装协议界面

(3)单击“Next”(下一步)按钮,进入选择安装类型界面。如果只做PCB设计,勾选第1个复选框即可,系统默认全选,如图1-3所示。

图1-3 选择安装类型界面

(4)设置完成后,单击“Next”(下一步)按钮,进入选择Altium Designer 20的安装路径界面。系统默认的安装路径为“C:\Program Files\Altium\AD20”,用户可以通过单击“Default”(默认)按钮来自定义其安装路径,如图1-4所示。

图1-4 选择安装路径界面

(5)选择好安装路径后,单击“Next”(下一步)按钮,进入确认安装界面,如图1-5所示。

图1-5 确认安装界面

(6)继续单击“Next”(下一步)按钮,此时界面内会显示安装进度,如图1-6所示。由于系统需要复制大量文件,所以需要等待几分钟。

图1-6 安装进度界面

(7)安装结束后,会出现安装成功界面,如图1-7所示。单击“Finish”(完成)按钮,完成Altium Designer 20的安装工作。

在安装过程中,可以随时单击“Cancel”(取消)按钮来终止安装过程。安装完成以后,在Windows系统的“开始”→“所有程序”子菜单中创建了一个Altium Designer 20菜单。

图1-7 安装成功界面

1.3.2 Altium Designer 20的汉化

安装完成后界面是英文的,用户可以调出中文界面。单击右上角的按钮,在打开的“Preferences”(参数选择)对话框中选择“System”(系统)→“General”(通用)→“Localization”(本地化)选项,勾选“Use localized resources”(使用本地资源)复选框,如图1-8所示。保存设置后,重新启动程序就有中文菜单了,如图1-9所示。

图1-8 “Preferences”(参数选择)对话框

图1-9 中文界面

1.3.3 Altium Designer 20的卸载

Altium Designer 20的卸载步骤如下。

(1)在Windows系统桌面中,执行“开始”→“控制面板”菜单命令,打开“控制面板”窗口。

(2)右击“Altium Designer 20”按钮,在弹出的快捷菜单中执行“卸载”命令,开始卸载程序,直至卸载完成。

1.4 PCB总体设计流程

为了让用户对电路设计过程有一个整体的认识和理解,下面我们介绍一下PCB的总体设计流程。

通常情况下,从接到设计要求到最终制作出PCB,主要会经历以下几个流程。

1.案例分析

这个步骤严格来说并不是PCB设计的内容,但对后面的PCB设计又是必不可少的。案例分析的主要任务是决定如何设计电路原理图,同时也影响到PCB的规划。

2.电路仿真

在设计电路原理图之前,有时候对某一部分电路设计方案并不十分确定,因此需要通过电路仿真来验证。电路仿真还可以用于确定电路中某些重要元器件的参数。

3.绘制原理图元器件

Altium Designer 20虽然提供了丰富的原理图元器件库,但不可能包括所有元器件,必要时需动手设计原理图元器件,建立自己的元器件库。

4.绘制电路原理图

找到所有需要的原理图元器件后,就可以开始绘制原理图了。根据电路复杂程度决定是否需要使用层次原理图。完成原理图后,用ERC工具查错,如果发现错误,则找到出错原因并修改原理图、重新查错,直到没有原则性错误为止。

5.绘制元器件封装

与原理图元器件库一样,Altium Designer 20也不可能提供所有元器件的封装,必要时需自行设计并建立新的元器件封装库。

6.设计PCB

确认原理图没有错误之后,开始绘制PCB图。首先绘出PCB图的轮廓,确定工艺要求(使用几层板等),然后将原理图传输到PCB图中,在网络表(简单介绍来历功能)、设计规则和原理图的引导下布局和布线,最后利用设计规则检查(Design Rules Check,DRC)工具查错。此过程是电路设计时的另一个关键环节,它将决定该产品的实用性能,这期间需要考虑的因素很多,且不同的电路有不同的要求。

7.文件保存

对原理图、PCB图及元器件清单等文件予以保存,以便以后维护、修改。

第2章 原理图简介

Altium Designer 20强大的集成开发环境使得电路设计中绝大多数的工作可以迎刃而解,从构建设计原理图开始到复杂的FPGA设计,从电路仿真到多层PCB的设计,Altium Designer 20都提供了具体的一体化应用环境,使从前需要多个开发环境的电路设计变得简单。

本章详细介绍关于原理图设计的一些基础知识,具体包括原理图的组成、原理图编辑器的界面、原理图绘制的一般流程、新建与保存原理图文件等。

在整个电子设计过程中,电路原理图的设计是最基础的环节。同样,在Altium Designer 20中,只有设计出符合需要和规则的电路原理图,然后才能对其顺利进行仿真分析,最终变为可以用于生产的PCB文件。

2.1 Altium Designer 20的启动

成功安装Altium Designer 20后,系统会在Windows系统的“开始”菜单中加入程序项,并在桌面上建立Altium Designer 20的快捷方式。

启动Altium Designer 20的方法很简单,与启动其他Windows程序没有什么区别。在Windows系统的“开始”菜单中找到“Altium Designer”选项并单击,或在桌面上双击“Altium Designer”快捷方式,即可启动Altium Designer 20。启动Altium Designer 20时,将有一个启动界面出现,启动界面区别于其他的Altium Designer版本,如图2-1所示。

图2-1 Altium Designer 20启动界面

2.2 Altium Designer 20的主窗口

Altium Designer 20成功启动后便进入主窗口,如图2-2所示。用户可以在该窗口中进行项目文件的操作,如创建新项目、打开文件等。

图2-2 Altium Designer 20的主窗口

主窗口类似于Windows系统的界面风格,它主要包括6个部分,分别为快速访问栏、工具栏、菜单栏、工作区面板、状态栏、导航栏。

2.2.1 快速访问栏

快速访问栏位于工作区的左上角。快速访问栏允许快速访问常用的命令,包括保存当前的活动文档,使用适当的按钮打开任何现有的文档,以及撤销和重做功能;还可以单击“保存”按钮来一键保存所有文档。

使用快速访问栏可以快速保存和打开文档、取消或重做最近的命令。

2.2.2 菜单栏

菜单栏包括“文件”“视图”“项目”“Window”(窗口)和“帮助”5个菜单。

1.“文件”菜单

“文件”菜单主要用于文件的新建、打开和保存等,如图2-3所示。下面详细介绍“文件”菜单中的各命令及其功能。

图2-3 “文件”菜单

2.“视图”菜单

“视图”菜单主要用于工具栏、工作区面板、命令行及状态栏的显示和隐藏,如图2-4所示。

图2-4 “视图”菜单

图2-5 “面板”命令子菜单

3.“项目”菜单

“项目”菜单主要用于项目文件的管理,包括项目文件的编译、添加、删除、差异显示和版本控制等,如图2-6所示。这里主要介绍“显示差异”和“版本控制”两个命令。

图2-6 “项目”菜单

图2-7 “选择比较文档”对话框

4.“Window”(窗口)菜单

“Window”(窗口)菜单用于对窗口进行纵向排列、横向排列、打开、隐藏及关闭等操作。

5.“帮助”菜单

“帮助”菜单用于打开各种帮助信息。

2.2.3 工具栏

工具栏是系统默认的用于工作环境基本设置的一系列按钮的组合,包括不可移动与关闭的固定工具栏和灵活工具栏。

固定工具栏中只有 3个按钮,用于配置用户选项。

图2-8 “优选项”对话框

2.2.4 工作区面板

在Altium Designer 20中,可以使用系统型面板和编辑器面板两种类型的面板。系统型面板在任何时候都可以使用,而编辑器面板只有在相应的文件被打开时才可以使用。

使用工作区面板是为了便于设计过程中的快捷操作。Altium Designer 20启动后,系统将自动激活“Projects”(工程)面板和“Navigator”(导航)面板,可以单击面板底部的标签,在不同的面板之间切换。

下面简单介绍“Projects”(工程)面板,其余面板将在随后的原理图设计和PCB设计中详细讲解。展开的面板如图2-9所示。

图2-9 工作区面板

工作区面板有自动隐藏显示、浮动显示和锁定显示3种显示方式。每个面板的右上角都有3个按钮,按钮用于在各种面板之间进行切换操作,)按钮用于改变面板的显示方式,按钮用于关闭当前面板。

2.3 Altium Designer 20的文件管理系统

对于一个成功的公司来说,技术是核心,健全的管理体制则是关键。同样,评价一个软件的好坏,文件的管理系统也是很重要的一个方面。Altium Designer 20的“工程”面板提供了两种文件—项目文件和设计时生成的自由文件。设计时生成的文件可以放在项目文件中,也可以移出放入自由文件中。当文件存盘时,文件将以单个文件的形式存入,而不是以项目文件的形式整体存盘,这种文件被称为存盘文件。下面简单介绍一下这3种文件类型。

2.3.1 项目文件

Altium Designer 20支持项目级别的文件管理,一个项目文件包括设计中生成的一切文件。例如,要设计一个收音机电路板,则可将收音机的电路图文件、PCB图文件、设计中生成的各种报表文件以及元器件的集成库文件等放在一个项目文件中,这样非常便于文件的管理。一个项目文件类似于Windows系统中的“文件夹”,在项目文件中可以执行对文件的各种操作,如新建、打开、关闭、复制与删除等。但需要注意的是,项目文件只是起到管理的作用,在保存文件时,项目中的各个文件是以单个文件的形式保存的,如图2-10所示。

图2-10 项目文件

2.3.2 自由文件

自由文件是指游离于(项目)文件之外的文件,Altium Designer 20通常将这些文件存放在唯一的“Free Documents”(自由文件)文件夹中。自由文件有以下两个来源。

自由文件的存在方便了设计的进行,当将文件从“Free Documents”文件夹中删除时,文件将会被彻底删除。

2.3.3 存盘文件

存盘文件就是在将项目文件存盘时生成的文件。Altium Designer 20保存文件时并不是将整个项目文件保存,而是单个保存,项目文件只起到管理的作用。这样的保存方法有利于进行大型电路的设计。

2.4 Altium Designer 20的开发环境

本节我们简单了解一下Altium Designer 20几种主要开发环境的风格。

1.Altium Designer 20原理图开发环境

图2-11所示为Altium Designer 20原理图开发环境,在操作界面上有相应的菜单和工具栏。

图2-11 Altium Designer 20原理图开发环境

2.Altium Designer 20印制板电路开发环境

图2-12所示为Altium Designer 20印制板电路开发环境。

图2-12 Altium Designer 20印制板电路开发环境

3.Altium Designer 20仿真编辑环境

图2-13所示为Altium Designer 20仿真编辑环境。

图2-13 Altium Designer 20仿真编辑环境

2.5 常用编辑器的启动

Altium Designer 20的常用编辑器有以下4种。

2.5.1 创建项目文件

在进行工程设计时,通常要先创建一个项目文件,这样有利于对文件的管理。有两种方法。

执行“文件”→“新的”→“项目”菜单命令,弹出“Create Project”(新建工程)对话框,在该对话框中显示工程文件类型,如图2-14所示。

默认选择“Local Projects”(本地工程)选项及“Default”(默认)选项,在“Project Name”(工程名称)文本框中输入项目文件的名称。默认名称为“PCB_Project”,之后新建的项目名称依次添加数字后缀,如PCB_Project_1、PCB_Project_2等。

图2-14 “Create Project”(新建工程)对话框

在“Folder”(路径)文本框下显示要创建的项目文件的路径,完成设置后,单击按钮,关闭该对话框,打开“Projects”面板,可以看到其中出现了新建的工程文件,如图2-15所示。

图2-15 新建工程文件

2.5.2 原理图编辑器的启动

新建一个原理图文件即可同时打开原理图编辑器,具体操作步骤如下。

1.菜单创建

执行“文件”→“新的”→“原理图”菜单命令,“Projects”(工程)面板中将出现一个新的原理图文件,如图2-16所示。“Sheet1.SchDoc”为新建文件的默认名称,系统自动将其保存在已打开的工程文件中,同时整个窗口新添加了许多菜单项和工具项。

图2-16 新建原理图文件

2.右键命令创建

在新建的工程文件上右击,弹出快捷菜单,执行“添加新的...到工程”→“Schematic”(原理图)命令即可创建原理图文件,如图2-17所示。

图2-17 右键命令创建原理图文件

在新建的原理图文件处右击,在弹出的快捷菜单中执行“保存”命令,然后在系统弹出的“保存”对话框中输入原理图文件的文件名,如“MySchematic”,即可保存新创建的原理图文件。

2.5.3 PCB编辑器的启动

新建一个PCB文件即可同时打开PCB编辑器,具体操作步骤如下。

1.菜单创建

执行“文件”→“新的”→“PCB”(印制电路板)菜单命令,在“Projects”(工程)面板中将出现一个新的PCB文件,如图2-18所示。“PCB1.PcbDoc”为新建PCB文件的默认名称,系统自动将其保存在已打开的工程文件中,同时整个窗口新添加了许多菜单项和工具项。

图2-18 新建PCB文件

2.右键命令创建

在新建的工程文件上右击弹出快捷菜单,执行“添加新的...到工程”→“PCB”(印制电路板文件)命令即可创建PCB文件,如图2-19所示。

图2-19 右键创建PCB文件

在新建的PCB文件上右击,在弹出的快捷菜单中执行“保存”命令,然后在系统弹出的保存对话框中输入PCB文件的文件名,例如“MyPCB”,单击“保存”按钮,即可保存新创建的PCB文件。

2.5.4 不同编辑器之间的切换

对于未打开的文件,在“Projects”(工程)面板中双击不同的文件,这样打开不同的文件后,即可在不同的编辑器之间切换。

对于已经打开的文件,单击“Projects”(工程)面板中不同的文件或单击工作窗口最上面的文件标签,即可在不同的编辑器之间切换。若要关闭某一文件,如“Sheet1.SchDoc”,在“Projects”(工程)面板中或在工作窗口的标签上右击该文件,在弹出的快捷菜单中执行“Close Sheet1.SchDoc”命令即可,如图2-20所示。

图2-20 关闭文件

2.6 原理图的组成

原理图,即为电路板在原理上的表现,它主要由一系列具有电气特性的符号构成。图2-21所示是一张用Altium Designer 20绘制的原理图,在原理图上用符号表示了所有的PCB组成部分。PCB各个组成部分在原理图上的对应关系具体如下。

图2-21 Altium Designer 20绘制的原理图

(1)Component(元器件):在原理图设计中,元器件将以元器件符号的形式出现;元器件符号主要由元器件引脚和边框组成,其中元器件引脚需要和实际的元器件一一对应。

图2-22所示为图2-21中采用的一个元器件符号,该符号在PCB上对应的是一个运算放大器。

图2-22 原理图中的元器件符号

(2)Copper(铜箔):在原理图设计中,铜箔分别由如下几项表示。

(3)Silkscreen Level(丝印层):丝印层是PCB上元器件的说明文字,它们在原理图上对应于元器件的说明文字属性。

(4)Port(端口):在原理图编辑器中引入的端口不是指硬件端口,而是为了建立跨原理图电气连接而引入的具有电气特性的符号。原理图中采用了一个端口,该端口就可以和其他原理图中同名的端口建立一个跨原理图的电气连接。

(5)Net Label(网络标号):网络标号和端口类似,通过网络标号也可以建立电气连接。原理图中网络标号必须附加在导线、总线或元器件引脚上。

(6)Supply(电源符号):这里的电源符号只是标注原理图上的电源网络,并非实际的供电器件。

总之,绘制的原理图由各种元器件组成,它们通过导线建立电气连接。在原理图上除了元器件之外,还有一系列其他组成部分帮助建立起正确的电气连接,整个原理图能够和实际的PCB对应起来。原理图作为一张图,它是绘制在原理图图纸上的,在绘制过程中引入的全部是符号,没有涉及实物,因此原理图上没有任何尺寸概念。原理图最重要的用途就是为PCB设计提供元器件信息和网络信息,并帮助用户更好地理解设计原理。

2.7 原理图编辑器的界面

在打开一个原理图设计文件或创建了一个新的原理图文件的同时,Altium Designer 20的原理图编辑器将被启动,即打开电路原理图的编辑环境,如图2-23所示。

图2-23 原理图编辑环境

下面将简单介绍一下该编辑环境的主要组成部分。

2.7.1 菜单栏

Altium Designer 20设计系统对于不同类型的文件进行操作时,菜单栏的内容会发生相应的改变。在原理图编辑环境中,菜单栏如图2-24所示。在设计过程中,对原理图的各种编辑操作都可以通过菜单栏中的相应命令来完成。

图2-24 原理图编辑环境中的菜单栏

2.7.2 工具栏

在原理图设计界面中,Altium Designer 20提供了丰富的工具栏,其中也有绘制原理图常用的工具栏。执行“视图”→“工具栏”→“自定义”菜单命令,系统弹出图2-25所示的“Customizing Sch Editor”(定制原理图编辑器)对话框,在该对话框的“工具栏”选项卡中可以对工具栏进行增减等操作,以便用户创建自己的个性化工具栏。

图2-25 “Customizing Sch Editor”对话框

1.“原理图标准”工具栏

“原理图标准”工具栏中为用户提供了一些常用的文件操作快捷方式,如打印、缩放、复制和粘贴等,并以按钮的形式表示出来,如图2-26所示。如果将鼠标指针悬停在某个按钮上,该按钮所能完成的功能就会在下方显示出来,便于用户操作。

图2-26 “原理图标准”工具栏

2.“布线”工具栏

“布线”工具栏主要用于放置原理图中的元器件、电源、接地、端口、图纸符号和未用引脚标志等,同时完成连线操作,如图2-27所示。

图2-27 “布线”工具栏

3.“应用工具”工具栏

“应用工具”工具栏用于在原理图中绘制所需要的标注信息,不代表电气连接,如图2-28所示。用户可以尝试操作其他的工具栏。

图2-28 “应用工具”工具栏

总之,“视图”菜单下“工具栏”子菜单中列出了所有原理图设计中的工具栏,在工具栏名称左侧有“√”标记则表示该工具栏已经被打开了,否则该工具栏是被关闭的,如图2-29所示。

图2-29 “工具栏”命令子菜单

4.快捷工具栏

用户也可以在原理图或PCB界面设计工作区的中上部分增加新的工具栏—Active Bar(快捷工具栏),用来访问一些常用的放置和走线命令,如图2-30所示。使用快捷工具栏可以轻松地将对象放置在原理图、PCB、Draftsman和库文档中,并且可以在PCB文档中一键执行布线,而无须使用主菜单。工具栏的控件依赖于当前正在工作的编辑器。

图2-30 快捷工具栏

当快捷工具栏中的某个对象最近被使用后,该对象就变成了活动(可见)按钮。按钮的右下方有一个小三角形,在小三角形上右击,即可弹出下拉菜单,如图2-31所示。

图2-31 下拉菜单

2.7.3 工作窗口和工作面板

工作窗口就是进行电路原理图设计的工作平台。在此窗口内,用户可以新画一个原理图,也可以对现有的原理图进行编辑和修改。

在原理图设计中经常用到的工作面板有“Projects”(工程)面板、“Components”(元器件库)面板及“Navigator”(导航)面板。

1.“Projects”(工程)面板

“Projects”(工程)面板如图2-32所示,其中列出了当前打开工程的文件列表及所有的临时文件,提供了所有关于工程的操作功能,如打开、关闭和新建各种文件,以及在工程中导入文件、比较工程中的文件等。

图2-32 “Projects”(工程)面板

2.“Components”(元器件库)面板

“Components”(元器件库)面板如图2-33所示。这是一个浮动面板,当鼠标指针移动到其标签上时,就会显示该面板,也可以通过单击标签在几个浮动面板间进行切换。在该面板中可以浏览当前加载的所有元器件库,也可以在原理图上放置元器件,还可以对元器件的封装、3D模型、SPICE模型和SI模型进行预览,同时还能够查看元器件供应商、单价、生产厂商等信息。

图2-33 “Components”(元器件库)面板

3.“Navigator”(导航)面板

“Navigator”(导航)面板能够在分析和编译原理图后提供关于原理图的所有信息,通常用于检查原理图。

2.8 原理图设计的一般流程

原理图设计是电路设计的第一步,是制板、仿真等后续步骤的基础。因而,一幅原理图正确与否,直接关系到整个设计的成功与失败。另外,为方便自己和他人读图,原理图的美观、清晰和规范也是十分重要的。

Altium Designer 20的原理图设计大致可分为图2-34所示的9个步骤。

图2-34 原理图设计的一般流程

1.新建原理图

这是设计一幅原理图的第一个步骤。

2.图纸设置

图纸设置就是要设置图纸的大小、方向等属性。图纸设置要根据电路图的内容和标准化要求来进行。

3.装载元器件库

装载元器件库就是将需要用到的元器件库添加到系统中。

4.放置元器件

从装入的元器件库中选择需要的元器件放置到原理图中。

5.元器件位置调整

根据设计的需要,将已经放置的元器件调整到合适的位置和方向,以便连线。

6.连线

根据所要设计的电气关系,用导线和网络将各个元器件连接起来。

7.注解

为了设计的美观、清晰,可以对原理图进行必要的文字注解和图片修饰。这些都对后来的PCB设置没有影响,只是为了方便读图。

8.检查修改

设计基本完成后,应该使用Altium Designer 20提供的各种校验工具,根据各种校验规则对设计进行检查,发现错误后进行修改。

9.打印输出

设计完成后,根据需要,可选择对原理图进行打印,或制作各种输出文件。

相关图书

轻松玩转3D One AI
轻松玩转3D One AI
ANSYS Fluent中文版超级学习手册
ANSYS Fluent中文版超级学习手册
Zemax中文版光学设计从入门到精通
Zemax中文版光学设计从入门到精通
零部件测绘与CAD成图技术  基于中望软件
零部件测绘与CAD成图技术 基于中望软件
UG NX 12中文版实用教程
UG NX 12中文版实用教程
3D One Plus实用教程
3D One Plus实用教程

相关文章

相关课程